JP2023052669A 审中 用于多站系统等离子调平的模块化配方控制校准 (mrcc) 设备
【技術分野】 【0001】 本実施形態は、半導体基板処理機器ツール、より具体的には、半導体処理システムにおける複数のステーション全体で、プラズマを平準化するために使用されるモジュール式レシピ制御較正(MRCC)装置に関する。 【背景技術】 【0002】 堆積を実行するものを含むマルチステーション半導体処理システムでは、入力RF電力は分割され、複数のステーションに分配される。特に、入力RF電力は、すべてのステーションに対して1つのモジュールまたはボックスを使用して分割される。つまり、RF電力を分割した後は、ステーションごとに利用可能な調整を行うことはできない。単一の制御ボックスを使用すると、各ステーションに供給されるRF電力を制御して、(平準化された、または平準化されていない)任意の望ましい電力分配を達成することは不可能である。 【0003】 それに加えて、RF電力を分割するために使用されるモジュール内のリアルエステートは制限されてよく、モジュールは、入力RF電力を分割し、複数のステーションに分配する。これは、クリアランスと沿面距離の制限を満たすことがますます困難になり、それによって内部回路内でアークが発生するリスクが生じるため、問題となり得る。 【0004】 さらに、現在の技術は、直列素子を使用して、低周波数から中周波数のRF電力および/または高周波数RF電力を介して供給される出力RF電力を調節調整する。しかしながら、トポロジの性質上、出力RF電力を調整する場合、調整に使用される回路素子間の絶縁はない。つまり、低周波数から中周波数のRF電力を調整すると、高周波数RF電力に影響があり、逆に、高周波数RF電力を調整すると、低周波数から中周波数のRF電力に影響がある。絶縁の欠如に対応するには、追加の回路素子が必要とされ得る。しかしながら、これには、RF電力の分割に使用されるモジュールの体積を増やす必要があり、これは常に可能であるとは限らない。また、追加の回路は、直列共振のために、非常に高い電圧のリスクを生み出すおそれがある。 【0005】 それに加えて、現在の技術は、RF電力を分割するために使用されるモジュール内の容量性素子のマニュアル調整を実施し、モジュールは、入力RF電力を分割し、複数のステーションに分配する。しかしながら、容量性素子が設定されると、コンデンサの位置(および値)は、それ以上監視されない。つまり、容量性素子が設定されると、RF電力の能動的な調整はない。さらに、通信が、システムまたは電源サイクルから切断された場合、最後のコンデンサの位置はわからない。 【0006】 本明細書で提供される背景説明は、本開示の文脈を一般的に示すことを目的とする。現在指名されている発明者の研究は、この背景技術に説明されている限り、出願時に先行技術としてみなされない説明の態様と同様、明示的または暗黙的に、本開示に対する先行技術として認めなくてもよい。 【0007】 本開示の実施形態が生じるのは、この文脈においてである。 【発明の概要】 【0008】 本実施形態は、関連技術に見られる1つまたは複数の問題を解決すること、具体的には、レシピ制御された無線周波数(RF)電力調節を、モジュール方式で、処理チャンバの各ステーションに提供することに関する。本開示のいくつかの発明の実施形態を以下に説明する。 【0009】 本開示の実施形態は、RF電力を調整する回路を含む。この回路は、低周波数(LF)/中周波数(MF)インダクタと直列に結合された可変LF/MFコンデンサを含む低周波数(LF)から中周波数(MF)の調整回路を含む。LF/MF調整回路は、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、中周波数範囲で300kHzから3MHz(メガヘルツ)以上で動作するように構成される。LF/MF調整回路は、接地と、RF入力を受け取るように構成された共通ノードとの間に結合される。この回路は、接地と共通ノードとの間に、LF/MF調整回路と並列に結合された高周波数(HF)調整回路を含む。HFインダクタと直列に結合された可変HFコンデンサを含むHF調整回路。可変LF/MFコンデンサを調節する場合、HF調整回路は、LF/MF調整回路から絶縁される。それに加えて、可変HFコンデンサを調節する場合、LF/MF調整回路はHF調整回路から絶縁される。つまり、可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。 【0010】 本開示の他の実施形態は、RF電力を調整するための装置を含む。この装置は、低周波数から中周波数でLF/MF電力を提供するLF/MF電力生成器と、高周波数でHF電力を提供するHF電力生成器とを含むRFデュアルソース電力生成器を含む。たとえば、LF/MF電力生成器は、その構成に応じて、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、および/または中周波数範囲で300kHzから3MHz以上(メガヘルツ)の間で動作するように構成される。この装置は、LF/MF電力を受け取り、HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスを含む。SIRF分配ボックスは、LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF入力として、組み合わせて分配するようにさらに構成される。この装置は、1つまたは複数の処理ステーション用の1つまたは複数のモジュール式遠隔制御較正(MRCC)回路を含む。各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む。LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、LF/MF調整回路は、接地と、対応する共通ノードとの間に結合される。HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、HF調整回路は、接地と、対応する共通ノードとの間に結合される。対応する共通ノードは、調整後に、対応するステーションに、対応するRF出力を提供するように構成される。可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。 【0011】 本開示の別の実施形態は、ウェーハ上に膜を堆積するためのプロセスチャンバで使用するためのアセンブリを含む。アセンブリは、低周波数から中周波数でLF/MF電力を提供するLF/MF生成器と、高周波数でHF電力を提供するHF電力生成器とを含むRFデュアルソース電力生成器を含む。たとえば、LF/MF電力生成器は、その構成に応じて、低周波数範囲で約5kHz(キロヘルツ)から400kHzの間、および/または中周波数範囲で300kHzから3MHz以上(メガヘルツ)の間で動作するように構成される。アセンブリは、LF/MF電力を受け取り、HF電力を受け取るように構成された分割入力RF(SIRF)分配ボックスを含む。SIRF分配ボックスはさらに、LF/MF電力およびHF電力のうちの少なくとも一方を、第1の分割RF入力、第2の分割RF入力、第3の分割RF入力、および第4の分割RF入力として組み合わせて分配するように構成される。アセンブリは、第1の処理ステーション用の第1のMRCC回路、第2の処理ステーション用の第2のMRCC回路、第3の処理ステーション用の第3のMRCC回路、および第4の処理ステーション用の第4のMRCC回路を含む。各MRCC回路は、接地と、対応する分割RF入力を受け取るように構成された対応する共通ノードとの間に、HF調整回路に並列に結合されたLF/MF調整回路を含む。LF/MF調整回路は、LF/MFインダクタと直列に結合された可変LF/MFコンデンサを含み、LF/MF調整回路は、接地と、対応する共通ノードとの間に結合される。HF調整回路は、HFインダクタと直列に結合された可変HFコンデンサを含み、HF調整回路は、接地と、対応する共通ノードとの間に結合される。対応する共通ノードは、調整後、対応するステーションに、対応するRF出力を提供するように構成される。可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。 【0012】 これらおよび他の利点は、明細書および特許請求の範囲の全体を読むことで、当業者によって理解されるであろう。 【0013】 実施形態は、添付図面と併せて採用される以下の説明を参照することによって最もよく理解されて差し支えない。 【図面の簡単な説明】 【0014】 【図1A】図1Aは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるペデスタルへのRF電力の印加を示す基板処理システムを示す図である。 【0015】 【図1B】図1Bは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるシャワーヘッドへのRF電力の印加を示す基板処理システムを示す図である。 【0016】 【図2】図2は、本開示の1つの実施形態にしたがって、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図である。 【0017】 【図3】図3は、本開示の1つの実施形態にしたがって、インバウンドロードロックおよびアウトバウンドロードロックを備えたマルチステーション処理ツールの実施形態の概略図である。 【0018】 【図4A】図4Aは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成された例示的な化学気相成長(CVD)システムを示す図である。 【0019】 【図4B】図4Bは、1つの実施形態にしたがって、1つまたは複数のモジュール式遠隔制御較正(MRCC)システムを使用する、複数のステーションへのRF電力の分配の自動平準化を示す図である。 【0020】 【図5A】図5Aは、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路および高周波数調整回路を含む、RF電力の自動調整のために構成されたMRCC回路の図である。 【0021】 【図5A-1】図5A-1は、本開示の1つの実施形態にしたがって、図5AのMRCC図のLF/MF調整回路とHF調整回路との間の交差並列絶縁を示す図である。 【0022】 【図5B】図5Bは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の高周波数調整回路のコンデンサの値に依存しない低周波数から中周波数の調整回路のコンデンサを調節することによってMRCC回路を使用するRF電力の調整を示す3次元(3D)グラフを示す図である。 【0023】 【図5C】図5Cは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の低周波数から中周波数の調整回路のコンデンサの値に依存しない高周波数調整回路のコンデンサを調節することによってMRCC回路を使用するRF電力の調整を示す3Dグラフを示す図である。 【0024】 【図5D】図5Dは、本開示の1つの実施形態にしたがって、複数のステーションに供給されるRF電力を分割するための直列素子を含むレシピ制御された較正システムを示す図である。 【0025】 【図5E】図5Eは、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路を調節する場合の高周波数調整回路への影響を示す図5Dのレシピ制御された較正システムを使用するRF電力の調整を示す3Dグラフを示す図である。 【0026】 【図5F】図5Fは、本開示の1つの実施形態にしたがって、高周波数調整回路を調節する場合の低周波数から中周波数の調整回路への影響を示す図5Dのレシピ制御された較正システムを使用するRF電力の調整を示す3Dグラフを示す図である。 【0027】 【図6】図6は、本開示の1つの実施形態にしたがって、1つまたは複数のMRCCシステムを使用する複数のステーションへのRF電力の分配の自動平準化のために構成されたシステムの斜視図である。 【0028】 【図7A】図7Aは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成され、低周波数から中周波数の調整回路または高周波数調整回路のコンデンサと接するためのフローティングモータマウントを含むMRCCシステムの斜視図である。 【0029】 【図7B】図7Bは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCシステムのクラムシェル外形の斜視図である。 【0030】 【図7C】図7Cは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCシステムのクラムシェル外形と、クラムシェル外形によって囲まれているMRCCシステムの内部構成要素とを例示する処理ステーションへのRF電力の調整のために構成されたMRCCシステムの斜視図である。 【0031】 【図8】図8は、上記のシステムを制御するための制御モジュールを示す図である。 【発明を実施するための形態】 【0032】 以下の詳細な説明は、例示の目的で多くの特定の詳細を含むが、当業者は、以下の詳細に対する多くの変形および変更が、本開示の範囲内にあることを理解するであろう。したがって、以下に説明する本開示の態様は、この説明に続く特許請求の範囲に、一般性を失うことなく、限定を課すことなく説明されている。 【0033】 一般的に言えば、本開示の様々な実施形態は、複数のステーションへのRF電力の平準化された分配を提供するシステムおよび方法を説明する。特に、プラズマ化学気相成長(PECVD)マルチステーションプラズマモジュールは、RFエネルギを使用して、ウェーハ(たとえば、300mmウェーハなど)に膜を堆積またはエッチングする。各ステーションは、高周波数のみ、高周波数と、低周波数から中周波数のエネルギの組合せ、またはそれらの組合せのいずれかで供給される。プラズマの性質上、そのインピーダンスは動的であるため、プラズマに供給されるRF電力を平準化するために、ステーションへのRF電力を能動的に調整する必要がある。つまり、(負荷として機能する)プラズマのインピーダンスは、RF電力の供給に影響を与える。実施形態では、モジュール式遠隔制御較正(MRCC)システムは、マルチステーションプラズマシステムにおけるステーションのおのおのへ、RF電力の平準化された分配を達成する。 【0034】 本開示の実施形態のRF電力供給システムの利点は、マルチステーション処理システムの各ステーションへのRF電力の供給および調節へのモジュール式アプローチを含む。特定のステーションにおけるプラズマのインピーダンスは絶えず変化しているため、複数のステーションに供給するためにRF電源から入力RF電力を分割しても、平準化された電力は得られない。各ステーションにおいて(たとえば、実施形態のMRCC回路/モジュールを介して)RF電力調整能力を提供することにより、電力供給を、必要に応じて増加または減少させることができる。このように、各ステーションへの電力および/または電圧の個別の制御が提供される。それに加えて、本開示の実施形態は、LF/MF周波数またはHF周波数のいずれかを調節する場合、RF電力調節の絶縁を提供する。特に、MRCCモジュールは、1つは低周波数から中周波数の調節用で、もう1つは高周波数調節用である、2つの並列回路を使用して、負荷(たとえば、プラズマ)のインピーダンスを変化させる。これらの並列回路は、一方の周波数を調節しても、もう一方の周波数が影響を受けないように設計される。さらに、本開示の実施形態は、アブソリュートエンコーダを使用して、各コンデンサの位置を追跡する。そのようにして、コンデンサの位置情報は失われない。それに加えて、本開示の実施形態は、アセンブラが、モジュールの3つの側面の内部構成要素にアクセスすることを可能にする、MRCCモジュールのクラムシェル設計を使用する。これにより、組立時間が短縮され、構築コストが削減される。さらに、本開示の実施形態は、フローティングモータマウントを使用して、コンデンサと、コンデンサを回転させるアクチュエータとの間の軸方向の誤整列に対抗する。これにより、誤整列によるコンデンサの焼き付きを防ぐ。 【0035】 様々な実施形態の上記の一般的な理解により、実施形態の例示的な詳細が、様々な図面を参照して説明される。1つまたは複数の図面において同様の番号が付けられた要素および/または構成要素は、一般に、同じ構成および/または機能を有することを意図される。さらに、図面は、一定の縮尺で描かれていなくてよいが、新しい概念を説明および強調することを意図される。本実施形態は、これらの特定の詳細の一部またはすべてが無くても実施してよいことが明らかであろう。他の例では、本実施形態を不必要に不明瞭にしないために、周知のプロセス動作は詳細に説明されていない。 【0036】 本開示の実施形態は、プラズマ化学気相成長(PECVD)および原子層堆積(ALD)プロセスで使用されるものなどのプラズマプロセスモジュールにおける電力供給に関する。本開示の実施形態は、様々なプロセスモジュール構成で実施されてよい。さらに、本開示の実施形態は、本明細書で提供される例に限定されず、異なる構成、形状、およびプラズマ生成技術を適用する異なるプラズマ処理システム(たとえば、誘導結合システム、容量結合システム、電子サイクロトロン共鳴システム、マイクロ波システムなど)で実現されてよい。プラズマ処理システムおよびプラズマ処理モジュールの例は、共同所有されている米国特許第8,862,855号、第8,847,495号、第8,485,128号、および米国特許出願第15/369,110号に開示される。 【0037】 図1Aは、反応器システム100Aを示しており、これは、CVD(たとえば、PECVD)または原子層堆積(ALD)プロセスで形成されたものなど、基板上に膜を堆積するために使用されてよい。膜の堆積は、好ましくは、PECVDシステムで実施される。図1Aの構成に示されるように、RF電力は、ペデスタル140に供給されるが、他の実施形態では、電力は、シャワーヘッドを介するなど、他の手法で供給されてよい。これらの反応器は、2つ以上のヒータを利用してよく、この例示的な反応器では、共通のターミナル構成を使用して、均一性またはカスタム設定のために温度を制御してよい。より具体的には、図1Aは、ウェーハ101を処理するために使用される基板処理システム100Aを示す。このシステムは、下部チャンバ部102bおよび上部チャンバ部102aを有するチャンバ102を含む。中央支柱は、1つの実施形態では電力供給電極であるペデスタル140を支持するように構成される。ペデスタル140は、マッチネットワーク106を介してRF電源104に電気的に結合される。電源は、制御モジュール110、たとえば、コントローラによって制御される。制御モジュール110は、プロセス入力および制御108を実行することによって、基板処理システム100Aを動作させるように構成される。プロセス入力および制御108は、電力レベル、タイミングパラメータ、プロセスガス、ウェーハ101の機械的な動きなどのプロセスレシピを含んでよく、たとえば、ウェーハ101上に膜を堆積または形成する。 【0038】 基板処理システム100Aは、複数の処理ステーションを含んでよい。たとえば、チャンバ102は、複数の処理ステーションを含んでよく、各ステーションは、ウェーハ101を支持するペデスタルを有する。マッチングネットワーク106によって供給されるRF電力および周波数は、分配システム420によって分割および分配される。各ステーションに供給されるRF電力の量を調節するために、1つまたは複数のMRCCチューナ415が、MRCCチューナ415とステーションとの間に1対1の関係で提供される。たとえば、1つまたは複数のMRCCチューナ415は、1つの実施形態では、各ステーションが、等しい量のRF電力を受け取るように、各ステーション間で平準化された調整を提供するように構成できる。別の実施形態では、1つまたは複数のMRCCチューナ415は、ステーションのおのおのに、所望のRF電力を提供するように構成することができ、ステーションのおのおのに供給される電力は、必ずしも等しい必要はない。 【0039】 1つの方法は、既知の良好な構成要素およびシステムを用いてシステムを較正することを含む。電圧プローブ(たとえば、VIプローブ417)を使用して、フィードバックが、対応するMRCCチューナ(たとえば、チューナ415)に戻され、対応するステーションへのRF電力供給を調節する方法を決定する。たとえば、1つのVIプローブ417は、対応するステーションの電流および電圧を決定するために使用される。このように、動作中、VIプローブ107は、プラズマのインピーダンスの変化による電圧変動を測定でき、処理中、ステーションへのRF電力の所望の供給を達成するために、RF電力を能動的に調節できる。 【0040】 中央支柱はまた、リフトピン(図示せず)を含み、これらのおのおのは、リフトピン制御122によって制御されるように、対応するリフトピン作動リング120によって作動される。リフトピンは、ペデスタル140からウェーハ101を持ち上げて、エンドエフェクタが、ウェーハを拾い上げることを可能にし、エンドエフェクタによって配置された後にウェーハ101を下げるために使用される。基板処理システム100Aはさらに、プロセスガス114、たとえば、施設からのガス化学供給に接続されたガス供給マニホールド112を含む。実行される処理に応じて、制御モジュール110は、ガス供給マニホールド112を介して、プロセスガス114の供給を制御する。選択されたガスは、その後、シャワーヘッド150に流し込まれ、そのウェーハ101に面するシャワーヘッド150の面と、ペデスタル140上にあるウェーハ101との間に画定された空間体積に分配される。ALDプロセスでは、ガスは、吸収、または吸収された反応物との反応のために選択された反応物である可能性がある。 【0041】 さらに、ガスは、予混合されても、されなくてもよい。適切なバルブおよびマスフロー制御メカニズムを適用して、プロセスの堆積およびプラズマトリートメント段階中に、正しいガスが確実に供給されるようにしてよい。プロセスガスは、出口からチャンバを出る。真空ポンプ(たとえば、1段または2段の機械式ドライポンプおよび/またはターボ分子ポンプ)は、プロセスガスを引き出し、スロットルバルブまたは振り子バルブなど、閉ループ制御の流量制限デバイスにより、反応器内を適切に低圧力に維持する。 【0042】 また、ペデスタル140の外側領域を取り囲むキャリアリング200も示される。キャリアリング200は、ペデスタル140の中心にあるウェーハ支持領域からステップダウンした、キャリアリング支持領域上に位置するように構成される。キャリアリングは、そのディスク構造の外縁側、たとえば、外半径と、ウェーハ101が位置する場所に最も近いそのディスク構造のウェーハ縁側、たとえば、内半径とを含む。キャリアリングのウェーハ縁側は、キャリアリング200がスパイダフォーク180によって持ち上げられたときにウェーハ101を持ち上げるように構成された複数の接触支持構造を含む。したがって、キャリアリング200は、ウェーハ101とともに持ち上げられ、たとえば、マルチステーションシステムにおいて、別のステーションへ回転される。他の実施形態では、チャンバは、単一のステーションチャンバである。 【0043】 図1Bは、本開示の1つの実施形態にしたがって、ウェーハを処理して、たとえば、その上に膜を形成するために使用されるシャワーヘッドへのRF電力の印加を示す基板処理システム100Bを示す。反応器システム100Bは、CVD(たとえば、PECVD)または原子層堆積(ALD)プロセスで形成されたもののような膜を、基板上に堆積するために使用されてよい。図1Bの構成に示されるように、RF電力は、シャワーヘッド150に供給されるが、他の実施形態では、電力は、図1Aにおけるようなペデスタル140を介するなど、他の手法で供給されてよい。 【0044】 基板処理システム100Bは、RF電力の供給場所を除いて、システム100Aと同様である。同様の番号の要素は、同様の機能を実行し、同様の構成を有する。たとえば、システム100Bは、下部チャンバ部102bおよび上部チャンバ部102aを有するチャンバ102を含む。中央支柱は、1つの実施形態では接地された電極であるペデスタル140を支持するように構成される。 【0045】 シャワーヘッド150は、RFマッチネットワーク106を介して電源(たとえば、1つまたは複数のRF電力生成器50)に電気的に結合される。電源は、制御モジュール110、たとえば、コントローラによって制御される。制御モジュール110は、前述したように、プロセス入力および制御108を実行することによって、基板処理システム100Aを動作させるように構成される。実行される処理に依存して、制御モジュール110は、ガス供給マニホールド112を介したプロセスガス114の供給を制御する。選択されたガスは、その後、シャワーヘッド150に流し込まれ、ウェーハ101に面するシャワーヘッド面と、ペデスタル140上にあるウェーハとの間に画定された空間体積に分配される。 【0046】 基板処理システム100Bは、複数の処理ステーションを含んでよい。たとえば、チャンバ102は、複数の処理ステーションを含んでよく、各ステーションは、ウェーハ101を支持するためのペデスタルを有する。RFマッチネットワーク106は、システム100Bに電力を供給するRF分配システム420に結合されてよい。たとえば、マッチングネットワーク106によって供給されるRF電力および周波数は、分配システム420によって分割され、ステーションのおのおのに分配される。また、ステーションに供給されるRF電力は、動作中にシャワーヘッドの電圧を感知するVIプローブ417を通過する。そのようにして、RF電力は、平準化された電力供給のために、または所望の電力供給のために、各ステーションにおいて調節されてよい。 【0047】 各ステーションに供給されるRF電力の量を調節するために、1つまたは複数のMRCCチューナ415が、MRCCチューナ415とステーションとの間に1対1の関係で提供される。たとえば、1つまたは複数のMRCCチューナ415は、1つの実施形態では、各ステーションが、等しい量のRF電力を受け取るように、ステーションのおのおのの間で平準化された調整を提供するように構成できる。別の実施形態では、1つまたは複数のMRCCチューナ415は、ステーションのおのおのに、所望のRF電力を提供するように構成でき、ステーションのおのおのに供給される電力は、必ずしも等しくなる必要はない。 【0048】 図2は、4つの処理ステーションが提供されるマルチステーション処理ツールの上面図を示す。この上面図は、(たとえば、例示のために、上部チャンバ部102aが取り外されている)下部チャンバ部分102bのものであり、4つのステーションは、スパイダフォーク226によってアクセスされる。各スパイダフォーク、またはフォークは、第1および第2のアームを含み、アームのおのおのは、ペデスタル140の各側の一部の周りに配置される。この図では、スパイダフォーク226は、それらがキャリアリング200の下にあることを伝えるために破線で描かれている。係合および回転機構220を使用するスパイダフォーク226は、キャリアリング200をステーションから同時に(すなわち、キャリアリング200の下面から)上げて、持ち上げ、その後、(キャリアリングのうちの少なくとも1つがウェーハ101を支持するところである)キャリアリング200を、次の位置に下げる前に、少なくとも1つまたは複数のステーションを回転させるように構成され、それにより、さらなるプラズマ処理、トリートメント、および/または膜堆積が、それぞれのウェーハ101上で生じ得る。 【0049】 図3は、インバウンドロードロック302およびアウトバウンドロードロック304を備えたマルチステーション処理ツール300の実施形態の概略図を示す。大気圧において、ロボット306は、ポッド308を介してロードされたカセットから、大気ポート310を介してインバウンドロードロック302に基板を移動するように構成される。インバウンドロードロック302は、真空源(図示せず)に結合されているので、大気ポート310が閉じられた場合に、インバウンドロードロック302はポンプダウンされてよい。インバウンドロードロック302はまた、処理チャンバ102bと接するチャンバ輸送ポート316を含む。したがって、チャンバ輸送316が開かれた場合、別のロボット(図示せず)が、処理のために、基板を、インバウンドロードロック302から、第1のプロセスステーションのペデスタル140に移動させてよい。 【0050】 図示された処理チャンバ102bは、図3に示される実施形態では1から4まで番号が付けられた4つのプロセスステーションを含む。いくつかの実施形態では、処理チャンバ102bは、低圧環境を維持するように構成されてよく、これによって、基板は、真空破壊および/または空気曝露を受けることなく、プロセスステーション間でキャリアリング200を使用して移送されてよい。図3に示される各プロセスステーションは、(ステーション1について318において示される)プロセスステーション基板ホルダおよびプロセスガス供給ライン入口を含む。 【0051】 図3はまた、処理チャンバ102b内で基板を移送するためのスパイダフォーク226を示す。スパイダフォーク226は回転し、あるステーションから別のステーションへのウェーハの移送を可能にする。移送は、スパイダフォーク226がキャリアリング200を外側の下面から持ち上げることを可能にすることによって起こり、これによって、ウェーハを持ち上げ、ウェーハとキャリアとをともに次のステーションに回転させる。1つの構成では、スパイダフォーク226は、処理中の高レベルの熱に耐えるために、セラミック材料から作られる。 【0052】 図4Aは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の所望の分配(たとえば、自動平準化、加重分配など)のために構成された例示的なマルチステーションプラズマシステム400A(たとえば、化学蒸着システム)を示す。PECVDマルチステーションプラズマシステムは、RFエネルギを使用して、1つまたは複数のウェーハに、膜を堆積またはエッチングする。 【0053】 たとえば、マルチステーションプラズマシステム400Aは、各ステーションのプラズマ反応器(動的であることが知られている)間の分配を平準化するために、各ステーションのRF電力の能動的調整を提供する。示されるように、マルチステーションプラズマシステム400は、高周波数RF電力を生成するためのHF生成器401と、低周波数から中周波数のRF電力を生成するためのLF/MF生成器405とを含む、RF生成システムを含む。高周波数電力は、高周波数(たとえば、約13.56MHz、10~20MHzの範囲、5~50MHzの範囲、5~100MHzの範囲)で動作する。低周波数電力は、低周波数(たとえば、360kHzから440kHzの範囲、200kHzから700kHzの範囲、および100kHzから900kHzの範囲)で動作する。中周波数電力は、中周波数(たとえば、200kHzから500kHzの範囲、400kHzから800kHzの範囲、500kHzから1MHzの範囲、800kHzから2MHzの範囲、および1.5MHzから3.5MHzの範囲)で動作する。各生成器は、複数の分配チャネルに分割され、各チャネルは、プラズマ反応器(たとえば、ステーション)に電力を供給する。各ステーションにおける消費電力は、各反応器の状態(たとえば、動的であるプラズマインピーダンス)によって異なる。たとえば、高周波数RF生成器401は、マッチングネットワーク402に接続される。マッチングネットワーク402によって供給される電力および周波数は、分割入力無線周波数(SIRF)分配システム410に供給される。また、低周波数から中周波数のRF生成器405は、マッチングネットワーク406に接続される。マッチングネットワーク406によって供給される電力および周波数は、SIRF分配システム410に供給される。 【0054】 SIRF分配システム410は、高周波数RF電力および低周波数から中周波数のRF電力のおのおのを分割し、チャネル(たとえば、1つからN個のチャネル)に分配する。各ステーションは、高周波数RF電力のみ、低周波数から中周波数のRF電力のみ、または高周波数RF電力と、低周波数から中周波数のRF電力との組合せ、のいずれかをソースとされる。1つの実施形態では、SIRF分配システム410のRF電力出力は、チャネルのおのおのに均等に分配される。 【0055】 図4Aに示されるように、各チャネルは、容量結合プラズマ(CCP)反応器に電力を提供する。たとえば、第1のチャネルは、ステーション1のペデスタル425-1を囲む反応器に電力を提供し、第2のチャネルは、ステーション2のペデスタル425-2を囲む反応器に電力を提供し、第Nのチャネルは、ステーションNのペデスタル425-Nを囲む反応器に電力を提供する。消費電力は、対応するステーションの反応器の状態によって異なる。CCP反応器は動的であることが知られているので、本開示の実施形態は、反応器間の分配を平準化するために、または各ステーションに所望の電力レベルを提供するために、各ステーションへのRF電力の能動的調整を提供する。特に、各チャネルは、対応するチャネルを介して、対応するステーションに供給されるRF電力を調整するように構成されたMRCCチャネルを含む。さらに、各チャネルは、対応するステーションに供給されるRF電力の電圧を測定するためのVIプローブ417を含む。その電圧は、ステーションに供給される適切な電圧および/または電力を決定するためのフィードバックとして使用されてよい。つまり、1つの実施形態では、MRCCチューナを、対応するVIプローブに接続することによって、そして十分なロジックを用いて、マルチステーションRF自動マッチングを実行して、ステーション電力を能動的に平準化してよい。また、平準化された分配の代わりに、所望のおよび/または較正された不平準も達成されて差し支えない。たとえば、第1のチャネルでは、VIプローブ417Aは、MRCCチューナ415Aによってステーション1に供給されるRF電力を測定するように構成され、第2のチャネルでは、VIプローブ417Bは、MRCCチューナ415Bによってステーション2に供給されるRF電力を測定するように構成され、第Nのチャネルでは、VIプローブ417Nは、MRCCチューナ415NによってステーションNに供給されるRF電力を測定するように構成される。 【0056】 図4Aに示すように、各ステーションは、1つまたは複数のフィルタボックスで構成される。一般に、ペデスタルの中央支柱を介して、様々な構成要素(たとえば、ヒータと、ヒータの温度を測定するために、熱電対から電圧信号を受信するコントローラなど)に交流(AC)または直流(DC)電力を供給する電源は、RF電力(低周波数から中周波数および/または高周波数)をACまたはDC電力と結合させるチャネル部分の前後のポイントにおいて、フィルタボックス(たとえば、RFフィルタ)を使用することにより、中央支柱からも供給されるRF電力から保護される。たとえば、高周波数RF電力が、ステーションに供給された(たとえば、ペデスタルの中央支柱を介してチャックに供給された)場合、中央支柱にも存在する他の任意の電気回路構成からの高周波数RF電力を絶縁するために、高周波数フィルタボックス430も提供される。たとえば、ペデスタルの中央支柱も通過するACまたはDC経路ラインを使用して、ペデスタルのチャック内の発熱素子を制御してよい。このように、高周波数フィルタボックス430は、AC経路ラインを、中央支柱を介して供給される高周波数RF電力から絶縁する。同様に、低周波数から中周波数のフィルタボックス435は、AC経路ラインを、中央支柱を介して供給される低周波数から中周波数のRF電力から絶縁する。より具体的には、高周波数フィルタボックス430Aは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Aは、ステーション1に供給される低周波数から中周波数のRF電力を絶縁し、高周波数フィルタボックス430Bは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Bは、ステーション2に供給される低周波数から中周波数のRF電力を絶縁し、···高周波数フィルタボックス430Nは、高周波数RF電力を絶縁し、低周波数から中周波数のフィルタボックス435Nは、ステーションNに供給される低周波数から中周波数のRF電力を絶縁する。 【0057】 図4Bは、1つの実施形態にしたがって、1つまたは複数のMRCCシステムを使用するクワッドステーションモジュール(QSM)プラズマ処理システムへの、RF電力の分配、またはRF電力の所望のまたは加重分配の自動平準化のために構成されたRF電力システム400Bのブロック図を示す。システム400Bは、図4Aのマルチステーションプラズマシステム400A内で実施できる。 【0058】 複数の電力生成器が提供される。たとえば、RFデュアルソース電力生成器は、LF/HF電力を提供する低周波数から中周波数のRF電力生成器405と、高周波数でHF電力を提供する高周波数RF電力生成器401とを含んでよい。 【0059】 それに加えて、分割入力RF(SIRF)分配ボックス420は、低周波数から中周波数のRF電力生成器405から、LF/MF電力を受け取り、高周波数RF電力生成器401からHF電力を受け取るように構成される。SIRF分配ボックス420は、LF/MF電力およびHF電力のうちの少なくとも一方を、1つまたは複数の分割RF出力として組み合わせて分配するようにさらに構成され、これら電力のおのおのは、対応する分割RF入力として、対応するMRCCモジュール415に提供される。たとえば、SIRF分配ボックス420は、ステーション1に、調節されたRF電力を提供するMRCCチューナ415AにRF出力1(455A)を提供し、ステーション2に、調節されたRF電力を提供するMRCCチューナ415BにRF出力2(455B)を提供し、ステーション3に、調節されたRF電力を提供するMRCCチューナ415CにRF出力3(455C)を提供し、ステーション4に、調節されたRF電力を提供するMRCCチューナ415DにRF出力4(455D)を提供する。 【0060】 このように、RF電力システム400Bの4つのMRCCチューナは、各MRCCチューナ415が、RF入力およびRF出力を有するように、SIRF分配ボックス420からRF電力(たとえば、RF出力)を、内部RF入力として提供する。つまり、各MRCCチューナは、対応するステーションのSIRF RF出力に接続されたRF入力を有する。また、各MRCCチューナは、ペデスタルまたはシャワーヘッドのいずれかのステーションに提供される1つのRF出力を有する。たとえば、MRCCチューナ415Aは、ステーション1のペデスタルまたはシャワーヘッド420Aに調整されたRF電力1を提供し、MRCCチューナ415Bは、ステーション2のペデスタルまたはシャワーヘッド420Bに調整されたRF電力2を提供し、MRCCチューナ415Cは、ステーション3のペデスタルまたはシャワーヘッド420Cに調整されたRF電力3を提供し、MRCCチューナ415Dは、ステーション4のペデスタルまたはシャワーヘッド420Dに調整されたRF電力4を提供する。1つの実施形態では、各ステーションに供給されるRF電力は、平準化されている、および/または、ほぼ等しい)。別の実施形態では、各ステーションに供給されるRF電力は、所望の分配にしたがって平準化されていない。 【0061】 それに加えて、MRCCコントローラ450は、MRCCチューナ415A~415Dのおのおのの動作を制御する(たとえば、平準化された分配、手動分配、説明された分配などのための設定を提供する)。たとえば、MRCCコントローラ450は、対応する可変コンデンサの値を調節するための制御信号を提供してよく、可変コンデンサを調節することによって、対応するMRCCチューナのRF電力出力を調節できる。 【0062】 特に、各MRCCチューナ415は、以下の図5Aに説明されるように、2つの並列回路経路を有するRF回路を含む。各並列回路経路は、LF/MFまたはHFのいずれかの基本動作周波数を超える共振を伴う直列共振回路を含む。さらに、両並列回路は、それぞれ可変コンデンサの値を調節することにより、LF/MFまたはHFのいずれかの電力を調節するように設計される。調節に対する電力応答は、ステーションのプラズマ負荷インピーダンスに依存する。 【0063】 図5Aは、RF電力の自動調整のために構成されたMRCC回路図500Aであり、MRCC回路は、本開示の1つの実施形態にしたがって、低周波数から中周波数の調整回路および高周波数調整回路を含む。MRCC回路図500は、最小の高周波数および低周波数から中周波数のクロストークを伴う広い調整範囲を提供する広い静電容量範囲を有する。示されるように、MRCC回路500Aは、接地と、対応する分割RF入力を提供するように構成された対応する共通ノード510との間に、HF調整回路702に並列に結合されたLF/MF調整回路701を含む(たとえば、RF入力として内部的に提供されるSIRF分配ボックス420から出力される分割RF出力を受け取る)。並列回路は、調整された場合、負荷のインピーダンスを変化させる。特に、MRCC回路図500AをRF経路に挿入することは、対応するステーションに向かうエネルギおよび/または電力を増加または減少させることによって負荷を妨げる。さらに、LF/MF調整回路701およびHF調整回路702は、一方が調節された場合に他方の回路が影響を受けないように設計される。 【0064】 LF/MF調整回路701は、LF/MFインダクタ520と直列に結合された可変LF/MFコンデンサ530を含む。LF/MF調整回路は、接地と、対応する共通ノード510との間に結合される。1つの実施形態では、LF/MF調整回路701の共振は、動作の基本周波数(LF/MF周波数)を超える。1つの実施形態では、可変LF/MFコンデンサ530は、5~700ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、15~650ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、100~400ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変LF/MFコンデンサ530は、200~300ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。LF/MF調整回路701は、LF/MFコンデンサ530の値を変化させることによって調整および/または調節される。このように、LF/MF電力は、可変LF/MFコンデンサ530の値を調節することによって調節される。1つの実施形態では、LF/MFインダクタ520は、10から40マイクロヘンリ(uH)の間の範囲内に見られる値を有する。1つの実施形態では、LF/MFインダクタ520は、24マイクロヘンリ(uH)の値を有するが、他の実施形態では、値は異なってよい。 【0065】 HF調整回路702は、HFインダクタ525と直列に結合された可変HFコンデンサ535を含む。HF調整回路702は、接地と、対応する共通ノードとの間に結合される。1つの実施形態では、HF調整回路702の共振は、動作の基本周波数(HF周波数)を超える。1つの実施形態では、可変HFコンデンサ535は、2~75ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、5~50ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、10~30ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。1つの実施形態では、可変HFコンデンサ535は、15~25ピコファラッド(pf)の間の値を有するが、他の実施形態では、値はこの範囲を超えてもよい。HF調整回路702は、HFコンデンサ535の値を変化させることによって調整および/または調節される。このように、HF電力は、可変HFコンデンサ535の値を調節することによって調節される。1つの実施形態では、HFインダクタ525は、3から30マイクロヘンリ(uH)の範囲内に見られる値を有する。1つの実施形態では、HFインダクタ525は、5から25マイクロヘンリ(uH)の間の範囲内に見られる値を有する。1つの実施形態では、HFインダクタ525は、16マイクロヘンリ(uH)の値を有するが、他の実施形態では、この値は異なってよい。1つの実施形態では、HFインダクタ525の値は、LF/MFインダクタ520の値よりも小さい。 【0066】 前述したように、LF/MF調整回路701は、LF/MFコンデンサ530の値を変化させることによって調整および/または調節され、HF調整回路702は、HFコンデンサ535の値を変化させることによって調整および/または調節される。LF/MFコンデンサ530および高周波数コンデンサ535の必要な値は、反応器内のプロセス条件に依存する。たとえば、LF/MF調整回路701および/またはHF調整回路702の調整は、対応する反応器に示される電圧の調整、および/または、対応する反応器に供給される電力の調整を提供する。具体的には、MRCC回路図500Aは、特定のソース周波数に対してインピーダンス変化を提供するために、位相シフタとして複数の並列回路(たとえば、LF/MF調整回路701およびHF調整回路702)を使用する。このように、インピーダンスが変化すると、特定の負荷(ステーション)に供給される電力が変化する。そのようにして、負荷が変化すると(たとえば、プラズマが、処理中に、そのインピーダンスを動的に変化させると)、MRCC回路は、負荷に適切な電力(たとえば、平準化された電力、必要な電力など)を供給するためにインピーダンスを自動的に調整するように構成される。さらに、実施形態では、LF/MFコンデンサ530およびHFコンデンサ535の値は、処理シーケンス全体に対する1つの調整を通じて、または処理シーケンス内の複数の重要なステップにおける調整を通じて、プロセス条件の変化と同期される。 【0067】 MRCC回路図500Aを調整した後、対応する共通ノード510は、対応するRF出力を、対応するステーションに提供するように構成される。つまり、RF入力が調節され、対応するステーションへのRF出力として提供される。 【0068】 実施形態では、LF/MF調整回路701およびHF調整回路702は、それらのそれぞれのソース周波数間の干渉を回避するために、絶縁を有するように設計されている。それに加えて、これらの回路は、調整範囲全体における共振を回避し、1つのステーションへの電流の急激な増加を防ぐ。以下は、MRCC回路図500Aのインピーダンス式である。 【数】 【0069】 図5A-1は、本開示の1つの実施形態にしたがって、図5AのMRCC図のLF/MF調整回路とHF調整回路との間の交差並列絶縁を示す。特に、可変LF/MFコンデンサを調節する場合、HF調整回路は、LF/MF調整回路から絶縁される。また、可変HFコンデンサを調節する場合、LF/MF調整回路はHF調整回路から絶縁される。具体的には、可変LF/MFコンデンサまたは可変HFコンデンサを調節する場合、LF/MF調整回路のLF/MFインダクタと、HF調整回路のHFインダクタとの間で交差並列絶縁が発生する。示されるように、LF/MFコンデンサ530が調節される場合、HF調整回路702の並列回路内のHFインダクタ525は、高いインピーダンスを示すように動作し、それによって、LF/MF調整回路701(たとえば、LF/MFコンデンサ530)が調節される場合、HF調整回路702を絶縁する。また、HFコンデンサ535が調節される場合、LF/MF調整回路701の並列回路内のLF/MFインダクタ520が動作して、高いインピーダンスを示し、それによって、HF調整回路702(たとえば、HFコンデンサ535)が調節される場合、LF/MF調整回路701を絶縁する。つまり、低周波数から中周波数のインダクタ、および高周波数インダクタのおのおのは、反対側の、すなわち交差調整回路の反対側のコンデンサを調節する場合、交差並列絶縁を提供する。 【0070】 図5Bおよび図5Cは、1KWの入力電力(たとえば、内部RF入力)を有するMRCC回路図500Aを使用して電力を調節する例を示す。説明したように、電力は、LF/MFコンデンサ530およびHFコンデンサ535のうちの1つまたは複数を変化させることによって調節される。図5Bおよび図5Cにおいて、LF/MFコンデンサ530およびHFコンデンサ535の値は、静電容量のために利用可能な合計値の範囲パーセンテージとして表される。特に、図5Bおよび図5Cは、1kWの入力電力で、高周波数のために13.56MHz、低周波数から中周波数のために400kHzを使用したシミュレーション結果を示す。示されるように、図5Bおよび図5Cは、各周波数の回路間の調整可能性および絶縁を示す。 【0071】 特に、図5Bは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配、またはRF電力の所望の分配の自動平準化のために構成されたMRCC回路の高周波数調整回路のコンデンサ(たとえば、HF調整回路702のHFコンデンサ535)の値とは無関係に、低周波数から中周波数の調整回路のコンデンサ(たとえば、LF/MF調整回路701のLF/MFコンデンサ530)を調節することによって、MRCC回路を使用するRF電力の調整を示す3次元(3D)グラフ500Bを示す。グラフ500Bは、電力を示すZ軸541、LF/MFコンデンサ530の値を示すX軸542、およびHFコンデンサ535の値を示すY軸542を含む。特に、LF/MF調整回路701とHF調整回路702との間の絶縁は、MRCC回路図500Aを調整する場合に達成される。1つの実施形態では、HF調整回路702は、可変LF/MFコンデンサ530を調節する場合、LF/MF調整回路701から絶縁される。たとえば、対応する分割RF入力が、低周波数から中周波数の成分(たとえば、400kHzの低周波数から中周波数)を有する場合、可変LF/MFコンデンサ530を調節する場合、HFインダクタ525は、対応する分割RF入力に対して高いインピーダンスを示し、HF調整回路702をLF/MF調整回路701から効果的に絶縁する。示されるように、LF/MFコンデンサ530の特定の値について、電力レベルは、HFコンデンサ543の値に関係なく一定である。つまり、HFコンデンサ543は、LF/MFコンデンサ530の特定の値に対して値が変化してよいが、電力レベルは一定である。 【0072】 図5Cは、本開示の1つの実施形態にしたがって、複数のステーションへのRF電力の分配の自動平準化のために構成されたMRCC回路の低周波数から中周波数の調整回路のコンデンサの値と独立した高周波数調整回路のコンデンサを調節することによって、MRCC回路を使用するRF電力の調整を示す3Dグラフ500Cを示す。グラフ500Cは、図5Bのグラフ500Bと同じ軸を含み、電力を示すZ軸541と、LF/MFコンデンサ530の値を示すX軸542と、HFコンデンサ535の値を示すY軸542とを含む。特に、LF/MF調整回路701とHF調整回路702との間の絶縁は、たとえば、MRCC回路図500Aを調整する場合に達成される。1つの実施形態では、LF/MF調整回路701は、可変HFコンデンサ535を調節する場合、HF調整回路702から絶縁される。たとえば、対応する分割RF入力が、高周波数成分(たとえば、13.56MHzの高周波数)を有する場合、LF/MFインダクタ520は、対応する分割RF入力に対して高いインピーダンスを示し、可変HFコンデンサ535を調節する場合、LF/MF調整回路701を、HF調整回路702から効果的に絶縁する。示されるように、HFコンデンサ535の特定の値について、電力レベルは、LF/MFコンデンサ530の値に関係なく一定である。つまり、LF/MFコンデンサ530は、HFコンデンサ535の特定の値に対して値が変化してよいが、電力レベルは一定である。 【0073】 図5D~図5Fは、1つの実施形態において、低周波数RF電力および/または高周波数RF電力を較正するように構成されたレシピ制御較正回路500Dと、較正回路500D内のコンデンサを調節する場合の電力応答を示すシミュレーション結果とを示す。 【0074】 特に、図5Dは、本開示の1つの実施形態にしたがって、複数のステーションに供給されるRF電力を分割するための直列素子を含むレシピ制御較正回路500Dを示す。回路500Dは、低周波数RF_INを受け取るためのノード1を含む。ノード1は、LFインダクタ501に結合され、LFインダクタ501は、可変LFコンデンサ(LF Cap)502に並列に結合され、その両方がノード2に結合される。ノード2は、低周波数コンデンサ503、504に並列に結合される。並列回路は、低周波数インダクタ505、コンデンサ506、コンデンサ507、およびコンデンサ508を含み、これらはすべて、ノード1とノード2との間に並列に結合される。コンデンサ516は、高周波数RF_INを受け取るように構成され、ノード1とノード4との間に結合される。可変HFコンデンサ(HF Cap)515は、ノード2と接地との間に結合される。インダクタ517は、ノード1とノード5との間に結合され、RF_OUTを提供する。 【0075】 また、図5Eおよび図5Fは、レシピ制御較正回路500Dが、完全に絶縁されていないことを示唆するシミュレーションを示す。特に、図5Eは、本開示の1つの実施形態にしたがって、低周波数調整回路を調節する場合の高周波数調整回路への影響を示す図5Dのレシピ制御較正システムを使用したRF電力の調整を示す3Dグラフを示す。たとえば、図5Eでは、回路500Dの低周波数成分(たとえば、コンデンサ)が調節される場合、低周波数コンデンサの特定の値において、電力は、高周波数コンデンサの値に応じて変化する。つまり、低周波数成分と高周波数成分とは互いに影響を及ぼし、絶縁されない。同様に、図5Fは、本開示の1つの実施形態にしたがって、高周波数調整回路を調節する場合の低周波数調整回路への影響を示す図5Dのレシピ制御較正システムを使用したRF電力の調整を示す3Dグラフを示す。図5Fにおいて、回路500Dの高周波数成分(たとえば、コンデンサ)が調節される場合、高周波数コンデンサの特定の値において、電力は、低周波数コンデンサの値に応じて変化する。このように、ここでも、低周波数成分と高周波数成分とは互いに影響を及ぼし、絶縁されない。このように、低周波数から中周波数の成分、および高周波数成分は、それぞれの周波数で動作している場合、互いに絶縁されるので、図5Aの回路500Aは、図5Dの回路500Dに対する改善を提供する。 【0076】 図6は、本開示の1つの実施形態にしたがって、1つまたは複数のMRCC調整システムを使用して、複数のステーションへのRF電力の供給の自動平準化のために構成されたシステム600の斜視図である。示されるように、システム600は、クワッドステーションモジュールツールをサポートするために必要とされるMRCCチューナモジュールのクワッドセットを含む。つまり、クワッドセットは、MRCCチューナ415A~415Dを含む4つの個別のMRCCチューナを含み、各MRCCチューナは、対応するステーションへの電力供給を制御するように構成される。各MRCCチューナは同様に構成され、以下に提供されるMRCCチューナ415Aの議論は、すべてのMRCCチューナを代表している。特に、図7Aは、対応するステーションへの平準化された、および/または、所望の電力を供給するように構成されたMRCCチューナ415(たとえば、415A)の斜視図および開放図を提供する。 【0077】 1つの実施形態では、システム600は、将来の対称的な低周波数から中周波数のRFシステムと上位互換性がある対称設計を示し、このように、固有のステーション平準化性能を改善する。特に、4つのMRCC調整システム415A~415Dは、中央開口部690の周りに対称的に配置される。1つの実施形態では、対称的に配置されたMRCC調整システム415A~415Dは、1つまたは複数のペデスタルに電力を提供するために、クワッドステーション処理システム(たとえば、図2~図3に示されるシステム)の下に構成される。別の実施形態では、対称的に配置されたMRCC調整システム415A~415Dは、1つまたは複数のシャワーヘッドに電力を提供するために、クワッドステーション処理システム(たとえば、図2~図3に示されるシステム)の上に構成される。 【0078】 各MRCCチューナ415は、前述したように、LF/MF調整回路701およびHF調整回路702を含む。たとえば、LF/MF調整回路は、LF/MFインダクタ520およびLF/MFコンデンサを含み、LF/MF調整回路は、対応するLF/MFコンデンサ530を調節することによって調整される。また、HF調整回路は、HFインダクタ525およびHFコンデンサ535を含み、HF調整回路は、HFコンデンサ535を調節することによって調整される。 【0079】 MRCCチューナのおのおのは、同様に構成される。たとえば、MRCCチューナ415Aは、MRCCチューナ内の構成要素の冷却を提供するためのファン630を含む。それに加えて、MRCCチューナ415内の各調整回路は、対応する可変コンデンサを調整するように構成されたアクチュエータ610と、可変コンデンサの値を測定するためのエンコーダ620とを含む。アクチュエータは、対応するコンデンサの値を変更するように構成される。たとえば、アクチュエータは、可変コンデンサの値を変化させるように制御されるモータ(たとえば、ステッパ、サーボなど)であってよい。たとえば、LF/MF調整回路701は、アクチュエータ610Aおよびエンコーダ620Aに結合される。同様に、HF調整回路702は、アクチュエータ610Bおよびエンコーダ620Bに結合される。 【0080】 その類似の構成のために、MRCCチューナは、モジュール方式で使用することができ、1つのMRCCチューナ415が、1つのステーションに関連付けられる。モジュール性は、既存の分割入力RF(SIRF)分配ボックスから物理的に絶縁できるが、取り付けることができるMRCCチューナ415を提供することによって実施される。特に、各MRCCチューナ415は、反応器の近く、またはソースの近く、またはそのことに関するRFの経路上の任意の場所に配置できる。このように、MRCCチューナ415をRF経路に挿入することによって、負荷は、特定のステーションに向かうエネルギを増加または減少することを妨げられる(たとえば、変化される)。 【0081】 1つの実施形態では、MRCCチューナ415Aは、アブソリュートエンコーダ620を使用して、対応する各コンデンサの位置を追跡する。位置情報は、コントローラへのフィードバックとして提供されてよい。アブソリュートエンコーダは、より正確な位置決めと、位置決め検証とを可能にし、繰り返し可能な位置決め、したがって、繰り返し可能なステーション間の電力調節を保証する。以前は、エンコーダは、位置検証に使用されていなかったため、値と位置の内部検証を、検証目的で実行できなかった。 【0082】 さらに、アブソリュートエンコーダを使用する場合、対応するエンコーダによって決定された位置情報は失われない。つまり、アブソリュートエンコーダを使用すると、原点復帰、リミットスイッチ、またはハードストップ検索ルーチンを使用して、位置をリセットしなくても、電源を入れ直して位置を知ることができる。たとえば、可変コンデンサの機械的なエンドリミットおよび中間点は、アブソリュートエンコーダによって決定および学習できる。これにより、電源サイクルで変化しない、より一貫性のあるプロセス結果が可能になる。また、アブソリュートエンコーダを使用すると、(たとえば、機械的なエンドリミットを決定するために)対応するコンデンサを1回だけ較正すればよいので、コンデンサに負荷がかからない。そのため、統合されたアブソリュートエンコーダは、実際の位置を追跡し、静電容量の変化を最小限に抑えて、モータがどこにあるかを確実に認識できるように構成できる。これにより、高応力の原点復帰を実行する必要がなくなる。 【0083】 特に、アブソリュートエンコーダ620の使用は、対応するコンデンサの正確なプロファイルを作成する能力を可能にする。コンデンサの両端は、1つの実施形態では、(アブソリュートエンコーダに基づく)モータの実際の位置と比較された(モータに送信されたステップ/パルスに基づく)モータの知覚位置を見て、それらが完全なステップの同期(1.8度)を超える場合に見つけられるハードストップを決定することによって見つけることができる。この決定されたリミットにより、ハードストップを「ハンマリング」するのではなく、停止させることで、ハードストップへの応力を軽減する。たとえば、ステッパモータは、何かに詰まると、半正弦波の力(たとえば、逆起電力[EMF]パルス)をかけ、「ハンマ」運動を引き起こす。これは、すばやく停止しないと、より多くの損傷を引き起こす可能性がある。モータとエンコーダとの間の誤整列のフルステップ(またはそれ以下)をチェックすると、ハードストップとの最初の接触中にのみステッピングモータを停止できる。その後、コンデンサを調節する場合に、モータがハードストップに戻らなくてもよい。ハードストップを繰り返し押すと、コンデンサの機能が著しく変化し、電源を入れ直すたびに、システムレシピを再調整する必要が生じる可能性がある。 【0084】 具体的には、1つのハードストップを見つけることにより、座標系を確立することが可能になる。コンデンサの健全性は、所与のコンデンサの調整の全範囲を確保するために、他方のハードストップ(たとえば、他端)を見つけることによってチェックすることもできる。両ハードストップを見つけることによって決定される知覚される回転数は、製造業者によって提供される、予想される回転数と比較できる。知覚される回転数が予想と一致しない場合、実施形態では、コンデンサとモータとのスリップ、コンデンサの破損、誤ったコンデンサなどの問題を示している可能性がある。較正前に、そしてMRCCチューナの寿命を通じて定期的に、これらの問題を検出することは、予防保守を提供する。 【0085】 図7Bは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCチューナ415のクラムシェル外形750の斜視図である。クラムシェルエンクロージャ設計により、アセンブラは、3側面からアクセスできるため、組立時間とコストが低減され、構成要素の組立時間が短縮されるため、人件費が削減される。たとえば、図7Aと図7Bとの両方を参照して示すように、クラムシェルエンクロージャ750は、少なくともLF/MF調整回路701およびHF調整回路702を保持するように構成されたシャーシ730に取り付けられる。クラムシェルエンクロージャ750はまた、一方の側の調整回路(たとえば、LF/MF調整回路701およびHF調整回路702)と、他方の側のモータ610およびエンコーダ620との間の境界として機能する面735に取り付けられる。 【0086】 より具体的には、エンクロージャ780は、シャーシまたはベース730、前面735、およびクラムシェル外形750を含む。エンクロージャ780は、LF/MF調整回路701およびHF調整回路702を囲むように構成される。クラムシェル外形750は、上部751および複数の側壁を含む。たとえば、クラムシェル外形750は、前面735に隣接する側壁752A、752Bと、取り付けられた場合に前面735に対向する側壁752Cとを含む。さらに、ブラケット781は、前面735に取り付けられるか、または結合される。 【0087】 図7Aに示すように、図7AのMRCCチューナ415は、本開示の実施形態にしたがって、低周波数から中周波数の調整回路701または高周波数調整回路702のコンデンサと接するために、面735に取り付けられた1つまたは複数のフローティングモータマウント710(たとえば、マウント710Aおよび710B)を含む。たとえば、フローティングモータマウント710Aは、LF/MFアクチュエータ610AとLF/MFコンデンサ530との間にフローティング境界を提供し、フローティングモータマウント710Bは、HFアクチュエータ610BとHFコンデンサ535との間にフローティング境界を提供する。 【0088】 例示として、フローティングモータマウント710Bによって表されるように、フローティングモータマウント710の上部は、ねじ740A、740Bを介して延長部736Bに取り付けられる。延長部736Bは、前面735に取り付けられたブラケット781に取り付けられる。吹出に示されているように、(マウント710Bによって表される)フローティングモータマウント710の底部は、マウント710のタブ720A、720Bを、シャーシ730のスロット(図示せず)に挿入することによって、シャーシ730と緩く位置合わせされる。フローティングモータマウント710Bは、アクチュエータ/モータ610Bと、対応するコンデンサ(HFコンデンサ535)との間の軸方向の誤整列に対抗するように構成される。適切な位置合わせにより、コンデンサの、またはコンデンサとモータとを結合するカプラ(図示せず)のベアリングに対する軸方向圧力による、コンデンサの焼き付きを防ぐ。それに加えて、フローティングモータマウント710は、モータとコンデンサとを位置合わせするためのモータマウントとして使用される機械加工された中実のアルミニウムブロックを置き換えることができ、それによって、コストを削減し、設置の容易性を高める。 【0089】 フローティングモータマウント710Aは、マウント710Bと同様に構成される。特に、フローティングモータマウント710Aは、ねじを介して延長部736Aに取り付けられる。延長部736Aは、前面735に取り付けられたブラケット781に取り付けられる。フローティングモータマウント710Aの底部は、シャーシ730のスロットにタブを挿入することによって、シャーシ730と緩く位置合わせされる。フローティングモータマウント710Aは、アクチュエータ/モータ610Aと、対応するコンデンサ(LF/MFコンデンサ530)との間の軸方向の誤整列に対抗するように構成される。適切な位置合わせは、コンデンサの、またはコンデンサとモータとを結合するカプラ(図示せず)のベアリングに対する軸方向圧力による、コンデンサ530の焼き付きを防ぐ。 【0090】 図7Cは、本開示の1つの実施形態にしたがって、処理ステーションへのRF電力の調整のために構成されたMRCCチューナシステムの斜視図である。MRCCチューナシステムは、シャーシ730に取り付けられたLF/MF調整回路701およびHF調整回路702を含む。MRCCチューナのクラムシェル外形750は、透明であり、LF/MF調整回路701のLF/MFコンデンサ530およびLF/MFインダクタ520を示し、HF調整回路702のHFコンデンサ535およびHFインダクタ525を示す。クラムシェル外形750は、シャーシ730および面735に取り付けられ、ファン630もまた、クラムシェル外形750に取り付けられる。面735は、前述したように、モータ610/エンコーダ620と、対応する調整回路とを分離し、その間の境界として機能する。エンコーダ620は、対応するコンデンサの位置を調整するために、モータ610を制御するコントローラに位置情報を戻す。また、RF出力780は、対応するステーションにRF電力を供給するように示される。 【0091】 図8は、上記のシステムを制御するための制御モジュール800を示す。たとえば、制御モジュール800は、プロセッサ、メモリ、および1つまたは複数のインターフェースを含んでよい。制御モジュール800は、感知された値に部分的に基づいて、システム内のデバイスを制御するために適用されてよい。たとえば、制御モジュール800は、感知された値および他の制御パラメータに基づいて、バルブ802、フィルタヒータ804、ポンプ806、および他のデバイス808のうちの1つまたは複数を制御してよい。制御モジュール800は、たとえば、圧力計810、流量計812、温度センサ814、および/または他のセンサ816からのみ、感知された値を受け取る。制御モジュール800はまた、前駆体の供給および膜の堆積中のプロセス条件を制御するために適用されてよい。制御モジュール800は、通常、1つまたは複数のメモリデバイスおよび1つまたは複数のプロセッサを含む。 【0092】 制御モジュール800は、前駆体供給システムおよび堆積装置のアクティビティを制御してよい。制御モジュール800は、プロセスタイミング、供給システム温度、およびフィルタ間の圧力差、バルブ位置、ガスの混合、チャンバ圧力、チャンバ温度、基板温度、RF電力レベル、基板チャックまたはペデスタル位置、および他の特定のプロセスのパラメータを制御するための一連の命令を含むコンピュータプログラムを実行する。制御モジュール800はまた、圧力差を監視し、蒸気前駆体供給を、1つまたは複数の経路から、1つまたは複数の他の経路に自動的に切り替えてよい。いくつかの実施形態では、制御モジュール800に関連付けられたメモリデバイスに格納された他のコンピュータプログラムを適用してよい。 【0093】 通常、制御モジュール800に関連付けられたユーザインターフェースが存在する。ユーザインターフェースは、ディスプレイ818(たとえば、装置および/またはプロセス条件のディスプレイ画面および/またはグラフィカルソフトウェアディスプレイ)、およびポインティングデバイス、キーボード、タッチスクリーン、マイクロフォンなどのユーザ入力デバイス820を含んでよい。 【0094】 プロセスシーケンスにおける前駆体、堆積、および他のプロセスの供給を制御するためのコンピュータプログラムは、たとえば、アセンブリ言語、C、C++、Pascal、Fortranなど任意の従来のコンピュータ可読プログラミング言語で書くことができる。コンパイルされたオブジェクトコードまたはスクリプトは、プログラムで識別されたタスクを実行するためにプロセッサによって実行される。 【0095】 制御モジュールパラメータは、たとえば、フィルタの圧力差、プロセスガスの組成と流量、温度、圧力、RF電力レベルや低周波数から中周波数のRF周波数などのプラズマ条件、冷却ガス圧力、およびチャンバ壁温度などのプロセス条件に関連する。 【0096】 システムソフトウェアは、多くの異なる手法で設計または構成されてよい。たとえば、本発明の堆積プロセスを実行するために必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトを書き込んでよい。この目的のためのプログラムまたはプログラムのセクションの例は、基板位置決めコード、プロセスガス制御コード、圧力制御コード、ヒータ制御コード、およびプラズマ制御コードを含む。 【0097】 基板位置決めプログラムは、基板をペデスタルまたはチャックにロードするために、および、基板と、ガス入口および/またはターゲットなどのチャンバの他の部分との間の間隔を制御するために使用されるチャンバ構成要素を制御するためのプログラムコードを含んでよい。プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、および任意選択で、チャンバ内の圧力を安定させるために堆積前にチャンバにガスを流すためのコードを含んでよい。フィルタ監視プログラムは、測定された差分を、所定の値と比較するコード、および/または、経路を切り替えるためのコードを含む。圧力制御プログラムは、たとえば、チャンバの排気システムのスロットルバルブを規制することによって、チャンバ内の圧力を制御するためのコードを含んでよい。ヒータ制御プログラムは、前駆体供給システム、基板、および/またはシステムの他の部分の構成要素を加熱するための加熱ユニットへの電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、ヘリウムなどの熱伝達ガスの基板チャックへの供給を制御してよい。 【0098】 堆積中に監視され得るセンサの例は、マスフロー制御モジュール、圧力計810などの圧力センサ、供給システムに配置された熱電対、ペデスタルまたはチャック、図9A~図9Cにおける状態センサ920を含むが、これらに限定されない。適切にプログラムされたフィードバックおよび制御アルゴリズムを、これらのセンサからのデータとともに使用して、望ましいプロセス条件を維持してよい。前述は、単一またはマルチチャンバ半導体処理ツールにおける本開示の実施形態の実施を説明する。 【0099】 いくつかの実施では、コントローラは、システムの一部であり、これは、上記の例の一部であってよい。そのようなシステムは、1つまたは複数の処理ツール、1つまたは複数のチャンバ、1つまたは複数の処理用プラットフォーム、および/または、特定の処理構成要素(基板ペデスタル、ガスフローシステムなど)を含む半導体処理機器を備えることができる。これらのシステムは、半導体ウェーハまたは基板の処理前、処理中、および処理後の動作を制御するために、電子機器と統合されてよい。電子機器は、「コントローラ」と称されてよく、システムの様々な構成要素またはサブパーツを制御してよい。コントローラは、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(たとえば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器設定、RFマッチング回路設定、周波数設定、流量設定、流体供給設定、位置および操作設定、ツールおよび他の転送ツールとの間の基板転送、および/または、特定のシステムに接続された、または接触するロードロックを含む、本明細書に開示されるプロセスのいずれかを制御するようにプログラムされてよい。 【0100】 大まかに言えば、コントローラは、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなど、様々な集積回路、ロジック、メモリ、および/またはソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、1つまたは複数のマイクロプロセッサ、またはプログラム命令を実行するマイクロコントローラ(たとえばソフトウェア)を含んでよい。プログラム命令は、半導体基板上において、または半導体基板に対して、またはシステムに対して、特定のプロセスを実行するための操作パラメータを定義する、様々な個別の設定(またはプログラムファイル)の形式でコントローラに通知される命令であってよい。操作パラメータは、いくつかの実施形態では、1つまたは複数の層、材料、金属、酸化物、シリコン、二酸化ケイ素、面、回路、および/またはウェーハのダイの製造中に、1つまたは複数の処理ステップを達成するために、プロセスエンジニアによって定義されたレシピの一部であってよい。 【0101】 コントローラは、いくつかの実施では、システムと統合されるか、システムに結合されるか、そうでなければシステムにネットワーク化されるか、またはそれらの組合せであるコンピュータの一部であるか、そのコンピュータに結合されてよい。たとえば、コントローラは、ファブホストコンピュータシステムの全部または一部の「クラウド」にあってよく、これは、基板処理へのリモートアクセスを可能にできる。コンピュータは、システムへのリモートアクセスを可能にして、製造操作の現在の進行状況を監視し、過去の製造操作の履歴を調べ、複数の製造操作から傾向または性能測定基準を調べ、現在の処理のパラメータを変化させ、現在の処理にしたがう処理ステップを設定するか、または、新しい処理を開始してよい。いくつかの例では、リモートコンピュータ(たとえば、サーバ)は、ローカルネットワークまたはインターネットを含んでよいネットワークを介して、システムに、プロセスレシピを提供できる。 【0102】 リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを含んでよく、これらパラメータおよび/または設定は、その後、リモートコンピュータからシステムに通信される。いくつかの例では、コントローラは、1つまたは複数の操作中に実行される各処理ステップのおのおののパラメータを指定するデータの形式で命令を受け取る。パラメータは、実行されるプロセスのタイプ、およびコントローラがインターフェースまたは制御するように構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上記のように、コントローラは、ともにネットワーク化され、本明細書に記載のプロセスおよび制御などの共通の目的に向かって動作する1つまたは複数の離散的なコントローラを備えるなどによって分散されてよい。そのような目的のための分散型コントローラの例は、チャンバにおいてプロセスを制御するように結合する、リモートに配置された(プラットフォームレベルにおける、または、リモートコンピュータの一部としてのような)1つまたは複数の集積回路と通信するチャンバ上の1つまたは複数の集積回路である。 【0103】 限定されないが、例示的なシステムは、プラズマエッチングチャンバまたはモジュール、堆積チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属めっきチャンバまたはモジュール、クリーンチャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層堆積(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、および、半導体ウェーハの作製および/または製造に関連付けられ得る、または使用され得る他の任意の半導体処理システムを含んでよい。 【0104】 上記で言及したように、ツールによって実行される1つまたは複数の処理ステップに応じて、コントローラは、1つまたは複数の他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近傍のツール、工場全体に配置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場におけるツールの場所、および/または、ロードポートとの間でウェーハのコンテナを移動する材料輸送に使用されるツールと通信し得る。 【0105】 実施形態の前述の説明は、例示および説明の目的で提供される。網羅的であったり、または、開示を制限したりすることは意図されない。特定の実施形態の個々の要素または特徴は、一般に、その特定の実施形態に限定されないが、適用可能な場合、置換可能であり、具体的に示されていない、または説明されていない場合でも、選択された実施形態で使用できる。同じことが、多くの手法で変更されてよい。そのような変形は、開示からの逸脱と見なされるべきではなく、そのようなすべての修正は、開示の範囲内に含まれることが意図される。 【0106】 前述の実施形態は、理解を明確にする目的でいくつかが詳細に説明されたが、特定の変更および修正が、添付の特許請求の範囲内で実施できることが明らかであろう。したがって、本実施形態は、例示的であり、限定的ではないと見なされるべきであり、実施形態は、本明細書に与えられる詳細に限定されるべきではなく、それらの範囲および特許請求の範囲の均等物の中で修正されてよい。
现在,一起体验智慧芽的产品和服务
自动注册,无需人工审核,即可立即开始查询专利
立即注册
澳门正版图库

AI助手